分频用什么约束,set_clock_groups 是什么
区块链毕设网qklbishe.com为您提供问题的解答
分频用什么约束,set_clock_groups 是什么
时钟约束为: create_clock -name clkin -period 10 [get_ports clkin] 对应的生成时钟约束为: create_generated_clock -name clkdiv2 -source [get_ports clkin] -divide_by 2 [get_pins REGA/Q] 虽然生成时钟也可以通过create_clock命令来创建,但是这样创建的是一个独立的时钟源,会增加STA的工作量,而采用create_generated_clock命令则可以让生成的时钟集成主时钟的一些性质,比如时钟延迟,时钟不确定性等等。
25:15
以上就是关于问题分频用什么约束,set_clock_groups 是什么的答案
欢迎关注区块链毕设网-
专业区块链毕业设计成品源码,定制。
区块链NFT链游项目方科学家脚本开发培训